زبان توصيف سخت افزار VHDL

دسته بندي : فنی و مهندسی » کامپیوتر و IT
عنوان مقاله: زبان توصيف سخت افزار VHDL
قالب فایل: WORD


* بخشی از ابتدای مقاله:

VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.
برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.
و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.
برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد.

تاريخچه
نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits
HDL : Hardware Description Language
استاندارد IEEE 1076-1987
استاندارد IEEE 1076-1993
Verilog و ABEL (Advanced Boolean Equation Language )
ویژگی ها
HDLها ذاتا موازي (همروند) هستند
● ترتيب دستورات مهم نيست
● مبتني بر رخداد
● امكان استفاده از دستورات ترتيبي را نيز دارد
اهداف اساسی
● مستند سازي : نگهداری، ارائه، تبادل، استفاده مجدد
● شبيه سازي : بررسی نتایج و ارزیابی
● سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC
اجرا= شبیه سازی

نیازمندی ها
حداقل نیازمندی ها برای یادگیری زبان VHDL
● دانستن جبر بول و آشنایی با مدارات منطقی
● داشتن ابزار CAD مناسب
دسته بندی: فنی و مهندسی » کامپیوتر و IT

تعداد مشاهده: 18182 مشاهده

فرمت فایل دانلودی:.zip

فرمت فایل اصلی: docx

تعداد صفحات: 22

حجم فایل:121 کیلوبایت

 قیمت: 8,900 تومان
پس از پرداخت، لینک دانلود فایل برای شما نشان داده می شود.   پرداخت و دریافت فایل
  • محتوای فایل دانلودی: